Current resists utilise chemical amplification to achieve the desired sensitivity, hence their name, chemically amplified resists (CAR). In the chemical amplification scheme, a single photochemical event induces a cascade of subsequent chemical transformations in a resist film; irradiation produces active species that catalyze numerous chemical reactions. Although the active species could be either ionic or radical in principle, use of photochemical acid generators (PAGs), which was proposed in the original chemical amplification concept has become the primary and almost exclusive foundation for an entire family of advanced resist systems. Diffusion of photoacid is believed to be a dominant cause of LER for CAR platforms.However, a number of other factors are believed to contribute to LER and the major contributors include mask roughness, aerial image contrast, polymer-developer interactions and energy blur such as diffusion of secondary electrons in the case of EUV lithography.

Despite the large amount of work investigating issues that effect LER a global understanding of all the different components is yet to be achieved. Furthermore, LER values for patterning at 32 or 22 nm nodes is yet to reach the goals set by the ITRS for immersion double patterning or EUV. Given that LER can have a significant effect on device performance, it still remains important to develop polymers and processes that attempt to minimise LER and gain further understanding of the processes involved.

As part of this search for a solution, we are considering non-CAR resists for 193 nm and EUV lithography. An issue with this class of resists has been the poor sensitivity. The next generation of excimer lasers have a more powerful laser source which will provide the capability to deliver significantly larger doses to the resist at current scan speeds. Hence, for 193 nm Immersion lithography in particular it is likely that the industry will accept less sensitive resists. However despite this further effort is still required to increase the sensitivity of non-CAR resists.

The program of work has involved screening a range of polymer systems for sensitivity to 193 nm light, including polycarbonates, poly(methacrylate) derivatives and polysulfones. Of these systems polysulfones have been shown to be the most promising. Current work focuses on increasing the absorbance of these polymers to increase the sensitivity.

This program is supported by an ARC Linkage Projects grant (LP0882551), in collaboration with Sematech, a consortium of international semiconductor companies.

Collaborators

Sematech – Dr Paul Zimmerman and Dr Warren Montgomery

Rochester Institute of Technology – Prof Bruce Smith and Peng Xie

Point of contact: or

Publications

  1. Blakey, I.; Chen, L.; Goh, Y.-K.; Lawrie, K.; Chuang, Y.-M.; Piscani, E.; Zimmerman, P. A.; Whittaker, A. K. Non-Ca Resists for 193 Nm Immersion Lithography: Effects of Chemical Structure on Sensitivity. Proc. SPIE 2009, 7273, 72733X.

  2. Chen, L.; Goh, Y.-K.; Lawrie, K.; Smith, B.; Montgomery, W.; Zimmerman, P.; Blakey, I.; Whittaker, A. Non-Chemically Amplified Resists for 193-Nm Immersion Lithography: Influence of Absorbance on Performance. Proceedings of SPIE 2010, 7639, 763953/763901-763953/763909.